HG "Sep 21 2012", "18:23:29"  
  VDA/FAT-AK30    
  Home (www.bausch-gall.de) | VHDL-AMS |  
    
VHDL-AMS

Application of VHDL-AMS

List of documents which describe the usage of VHDL-AMS for industrial applications:

  • Motor Modeling Based on Physical Effect Models (PDF, 277 kB)
    (IEEE Int'l Workshop on Behavioral Modeling and Simulation (BMAS, Santa Rosa, CA, October 10 - 12, 2001)
    Modeling of complex electromechanical systems can be simplified by dividing the mechanical part of the system into basic physical effects. These basic physical effects have been used for microsystem modeling and - as shown in this paper - can also be used in modeling electro-mechanics of macro scale. The reuse of these models from a library of basic effects in other systems saves time and money. This is illustrated through the example of a hard disk drive's electro-mechanics, i.e. spindle motor and voice coil motor.

  • Full transceiver circuit simulation using VHDL-AMS (PDF, 73 kB)
    (Microwave Engineering, May 2002)
    This paper describes the successful simulation of a complete transceiver circuit with the new VHDL-AMS standard. The aim was to verify the functionality and connectivity of a complete RF transceiver chip under actual application conditions. The transceiver circuit is dedicated to E-GSM/GPRS standards for mobile phone applications. At such a level of complexity, traditional tools do not allow simulation of the design at transistor level. In addition to digital models, mixed-signal behavioural models of the transmitter, receiver and frequency synthesiser have been developed for a high level of abstraction, as required in a top-down methodology. The complete simulation of the chip took 23 minutes of CPU time for 6ms of circuit operation. For the first time we were able to verify and debug such a circuit at the top-level.

  • Behavioral Model of a DC-DC-Converter (PDF, 998 kB)
    (SYNOPSYS User Group Saber Meeting, October 8, 2002, Munich, Germany)
    The specifications for a buck and boost mode between 12V and 42V boardnet voltages are presented. Behavioral models for the system are described and simulation results shown.

  • Behavioral alternator model in VHDL-AMS for the powernet simulation (PDF, 138 kB)
    (Mentor Graphics Users' Group Meeting, October 10, 2003, Sonthofen, Germany)
    A simple powernet consisting of an alternator, a regulator, a battery, loads and a drive cycle is shown to be easily modelled with VHDL-AMS. Two methods of implementing a one-dimensional piece-wise-linear function are shown.


Anwendung von VHDL-AMS

Liste von Veröffentlichungen und Vortragsskripten, die den Einsatz von VHDL-AMS für industrielle Anwendungen beschreiben:

  • Kfz-Bordnetz: Einfache Teilmodelle in VHDL-AMS (PDF, 810 kB)
    (Vortrag beim ASIM-Fachgruppentreffen ''Simulation Technischer Systeme'', Dresden, 5./6. März 2001)
    Folgende Modelle für Komponenten von Bordnetzen werden vorgestellt: Ersatzschaltbild einer Leitung aus Widerstand, Induktivität und Kapazität, Schalter als gesteuerter Widerstand, Glühlampe).

  • Methodischer Entwurf und Simulation eines Delta-Sigma-A/D-Wandlers mit VHDL-AMS (PDF, 132 kB)
    (ASIM-Tagung, 10. - 13. September 2002, Rostock)
    Der Beitrag stellt exemplarisch die Verwendung von VHDL-AMS für den Entwurf und die simulative Validierung von integrierten Mixed-Signal-Schaltungen vor. Die zu implementierende Komponente ist ein Delta-Sigma-Analog/Digital-Wandler. VHDL-AMS ermöglicht eine Gesamtsystemsimulation des Wandlers, sowie einen hierarchischen Modellierungsansatz, der die methodische Weiterentwicklung der enthaltenen Teilkomponenten ermöglicht. Es wird gezeigt, dass VHDL-AMS sowohl eine effiziente Simulation von konzeptionellen 'High-Level'-Modellen, als auch eine genaue Simulation von hardware-nah beschriebenen Entwurfsobjekten ermöglicht.

  • Ein Generatormodell für die Energienetzsimulation mit VHDL-AMS (PDF, 270 kB)
    (ASIM-Tagung, 10. - 13. September 2002, Rostock)
    Dieser Bericht beschreibt die Vorgehensweise bei der Dimensionierung von modernen Fahrzeugbordnetzen. Es wird dabei besonders auf die Einbindung leicht parametrierbarer Generatormodelle eingegangen. Kriterium für das Modell war der Einsatz einer standardisierten Sprache, die auf unterschiedlichen Simulatoren einsetzbar ist. Simulative Verfahren sind für Fahrzeughersteller wie Zulieferer ein unverzichtbares Werkzeug, um Entwicklungszeiten und -kosten bei gleichzeitig steigender Produktkomplexität weiter zu reduzieren (''Virtuelle Fahrzeugentwicklung'').

  • Hardware-in-the-Loop-Simulation mechatronischer Systeme mit VHDL-AMS-RT (PDF, 897 kB)
    (ASIM-Tagung, 10. - 13. September 2002, Rostock)
    Der Vortrag zeigt die HIL-Simulation eines Elektrofahrzeugs als mechatronisches System mit Hilfe eines Prototyps eines VHDL-AMS-RT-Compilers für MATLAB/Simulink. Das Fahrzeug wird als MKS-Modell beschrieben, wobei die Bewegungsgleichungen mit NEWEUL aufgestellt und mit MAPLE linearisiert werden. Diagramme zeigen Simulations- und Messergebnisse.

VDA/FAT-AK30, c/o BAUSCH-GALL GmbH, Wohlfartstrasse 21 b, D-80939 Muenchen
Telephone: +49/89/3232625, Telefax: +49/89/3231063
email: Hans.Gall@Bausch-Gall.de